• 0%
    0 votes
  • Rate this episode
    What did you think?
  • 1
    watcher
  • 2
    plays
  • 16
    collected

Chaos Communication Congress: Season 32

32x14 A Free and Open Source Verilog-to-Bitstream Flow for iCE40 FPGAs

  • 2015-12-27T00:00:00Z
  • 1h
  • English
Speaker: Clifford Yosys (Yosys Open Synthesis Suite) is an Open Source Verilog synthesis and verification tool. Project IceStorm aims at reverse engineering and documenting the bit-stream format of Lattice iCE40 FPGAs and providing simple tools for analyzing and creating bit-stream files, including a tool that converts iCE40 bit-stream files into behavioral Verilog. Currently the bitstream format for iCE40 HX1K and HX8K is fully documented and supported by the tools. Arachne-PNR is an Open Source place&route tool for iCE40 FPGAs based on the databases provided by Project IceStorm. It converts BLIF files into an ASCII file format that can be turned into a bit-stream by IceStorm tools. This three projects together implement a complete open source tool-chain for iCE40 FPGAs. It is available now and it is feature complete (with the exception of timing analysis, which is work in progress).
Loading...